Как сделать принципиальную схему из этого?

4 года назад от Ok Hello

1 ответ

0 голосов
Схема - на зеленой фигне. Осталось проставить нумерацию на выводах лог. элементов микрухи, указать питание микрухи (7-й и 14-й выводы - общий и +5В) нарисовать переключатели на входах триггера (переключатель между входом триггера и общим, и резистор подтяжки 10К между входом триггера и питанием, на выходы - светодиоды, между выходом и общим, анодом к выходу, через резистор 1К
4 года назад от Наталия Дружинина

Связанные вопросы